当前位置: 首页 > news >正文

牛 网站建设如何弄一个自己的网站

牛 网站建设,如何弄一个自己的网站,厦门找一家做网站的公司,网站虚拟主机共享Verilog-2005支持一些简单的数学函数,其参数的数据类型只能是integer和real型。 Integer型数学函数 $clog2是一个以2为底的对数函数,其结果向上取整,返回值典型的格式: integer result; result $clog2(n); 最典型的应用就是通过…

        Verilog-2005支持一些简单的数学函数,其参数的数据类型只能是integer和real型。

Integer型数学函数

        $clog2是一个以2为底的对数函数,其结果向上取整,返回值典型的格式:

integer result;

result = $clog2(n);

        最典型的应用就是通过参数化的方式来求某个变量的位宽,在另一篇文章已经对用法做了详细的介绍:Verilog设计中如何匹配变量的位宽?($clog2系统函数)

Real型数学函数

        其参数数据类型为real型,返回值同样为real型,这意味着下面这些数学函数都无法被综合:

FunctionDescription
$ln(x)N自然对数(以e为底的对数)
$log10(x)十进制对数(以10为底的对数)
exp(x)e^x ,e=2.718281828...
sqrt(x)开平方
$pow(x, y)x^y
$floor(x)向下取整
$ceil(x)向上取整
$hypot(x, y)sqrt(xx + yy)。对两个数平方和开平方
$sin(x)sin
$cos(x)cos
$tan(x)tan
$asin(x)arcsin
$acos(x)arccos
$atan(x)arccos
$atan2(x, y)x/y的反正切
$sinh(x)双曲正弦
$cosh(x)双曲余弦
$tanh(x)双曲正切
$asinh(x)反双曲正弦
$acosh(x)反双曲余弦
$atanh(x)反双曲正切

        写个简单的testbench到modelsim验证一下:

module tb_math_fuc;real x, y;		//这些函数的参数需要是real类型,返回也是real类型initial begin		//0.3f表示取小数点后3位,下同x = 10000;$display("$log10(%0.3f) = %0.3f", x, $log10(x));				//以10为底的对数	x = 1;$display("$ln(%0.3f) = %0.3f", x, $ln(x));						//以e为底的对数x = 2;$display("$exp(%0.3f) = %0.3f", x, $exp(x));						//e^xx = 25;$display("$sqrt(%0.3f) = %0.3f", x, $sqrt(x));					//开平方x = 5;y = 3;$display("$pow(%0.3f, %0.3f) = %0.3f", x, y, $pow(x, y));	//x^yx = 2.7813;$display("$floor(%0.3f) = %0.3f", x, $floor(x));				//向下取整x = 7.1111;$display("$ceil(%0.3f) = %0.3f", x, $ceil(x));				//向上取整x = 30 * (22.0/7.0) / 180;$display("$sin(%0.3f) = %0.3f", x, $sin(x));	//sin函数x = 90 * (22.0/7.0) / 180;$display("$cos(%0.3f) = %0.3f", x, $cos(x));	//cos函数x = 45 * (22.0/7.0) / 180;$display("$tan(%0.3f) = %0.3f", x, $tan(x));	//tan函数x = 0.5;$display("$asin(%0.3f) = %0.3f rad, %0.3f deg", x, $asin(x), $asin(x) * 7.0/22.0 * 180);//arcsin函数x = 0;$display("$acos(%0.3f) = %0.3f rad, %0.3f deg", x, $acos(x), $acos(x) * 7.0/22.0 * 180);	//arccos函数x = 1;$display("$atan(%0.3f) = %0.3f rad, %f deg", x, $atan(x), $atan(x) * 7.0/22.0 * 180);		//arctan函数endendmodule

        这是验证结果:

http://www.mnyf.cn/news/46126.html

相关文章:

  • 电商类网站建设价格潍坊seo建站
  • 做特产网站的原因百度搜索引擎广告
  • 商丘住房和城乡建设厅网站html静态网页制作
  • 网站建设自查情况报告商城网站建设
  • 医院网站建设最新报价seo关键字排名优化
  • 网站结算系统怎么做百度一下百度搜索入口
  • 大学生简历免费制作网站seo发贴软件
  • 加工平台推荐长尾词seo排名优化
  • 网站内做全文搜索做网站哪家公司比较好而且不贵
  • 动态数据库网站东莞寮步最新通知
  • 大凤号 网站建设怎样建网站卖东西
  • 怎么做网站主导航seo关键词排名优化系统
  • 网站设计对网站建设有哪些意义?怎么做品牌推广和宣传
  • 做私活 网站最近几天的重大新闻事件
  • 做网站开发需要的英语水平百度搜索引擎优化的方法
  • 他人委托我做网站网页设计代做
  • 山东省建设厅执业注册中心网站深圳优化网站
  • pexels免费素材网站南昌百度快速排名提升
  • 刚刚大连发布紧急通知上海seo培训
  • 微信广告朋友圈投放需要优化的网站有哪些
  • 网站开发的教学网站百度搜索推广
  • 安徽省建设工程信息网官方网站百度非企渠道开户
  • 百度企业网站建设费用2024疫情最新消息今天
  • 专做自驾游的网站软文写作技巧及范文
  • 做网站一个月20万企业邮箱格式
  • 如何判断网站数据库类型优帮云查询数据云查询
  • 去视频网站做编辑器免费注册网站有哪些
  • 丽水网站建设公司如何查询百度收录
  • 关于网站建设的简历网络营销组织的概念
  • 做家教有什么网站微信营销推广的方式有哪些